[AIDE] Problème NV-SIM?

B

billjoy

Guest
Q1:
En NC
si ncvhdl
ncverilog
est ok
mais
ncelab avec l'erreur mon exemple
Voici mon procesure exécuter

/==========
ncvhdl-work work. / fa.vhd ==> OK
ncvlog-./adder4.v work work ==> OK
ncvhdl-work work. / testfixture.vhd ==> OK
ncelab-work work work.cfg_testadd4: configuration ==> pas OK
-> Message
ncelab: * E, MULVHD: Liaisons possible par exemple de fa entité »dans
`work.add4: module sont les suivantes:
VITAL_MEMORY.FA: A
WORk.FA: A
ncelab: * E, CUVMUR: par exemple pour le module / FA UDP 'en suspens
dans 'work.add4: Module'.

//=============

Qu'est ce que cela signifie??
Qu'est-ce eLab est l'erreur??

Q2.Dans ce cas, je veux utiliser NCSim générer *. FSDB
Y at-Guy maître peut me dire comment faire?

 
Elle fonctionne bien pour moi, je me sers NC-sim ver 5.3-S001 ..Utilisation de Novas Systems Debug avec NC-Sim

CNRS

Nous allons utiliser Debussy 5.3, LDV 5.0 et Solaris2 OS 32 bits dans cet exemple.D'autres plates-formes ont des paramètres similaires, sauf pour le système d'exploitation HP, la variable d'environnement est SHLIB_PATH, au lieu de LD_LIBRARY_PATH.Pour des informations détaillées s'il vous plaît consulter la documentation d'installation Novas Software (<Novas_install> / doc / installation.pdf).Plates-formes prises en charge:

* HP10 <=> HPPA <=> HP11_32bit
* HP11 <=> HP11_64bit
* LINUX
* WINNT (bibliothèques monter seulement lorsqu'il est installé sur Windows)
* SOL2 <=> Solaris2 <=> SOL7_32bitVerilogLa liaison dynamiquePour lier la bibliothèque compilée fournie:

setenv LD_LIBRARY_PATH <Novas_install> / share/PLI/nc_xl/SOLARIS2/nc_sharedSi vous avez besoin pour générer votre propre bibliothèque compilée, les fichiers requis sont pli.a et veriuser.c

Elles sont situées à <Novas_install> / share/PLI/nc_xl/SOLARIS2Bootstrap dynamique des lienssetenv LD_LIBRARY_PATH <Novas_install> / share/PLI/nc_xl/SOLARIS2/nc_loadpli1

ncverilog-f run.f debug loadpli1 = debpli: debpli_bootLiaison statiqueCopier et de modifier Makefile.nc de <Cadence_install_dir> / tools / Inca / fichiers

VERIUSER_C = $ (NOVAS_HOME) / share/PLI/nc_xl/SOLARIS2/veriuser.c

PLI_OBJECTS = $ (NOVAS_HOME) / share/PLI/nc_xl/SOLARIS2/pli.a

> Make-f statique Makefile.nc

Exécutables statiques seront créées pour NCSim et ncelab

Utilisez ces nouveaux exécutables statiques

> Path = (<location de l'électricité statique executables>) $ path)

VHDLLa liaison dynamiquePour lier la bibliothèque compilée fournie:

* Compile novas.vhd trouvée dans <Novas_install> / share/PLI/nc_vhdl/SOLARIS2
* Ajouter dans votre code VHDL: work.novas.all utilisation;
* Setenv LD_LIBRARY_PATH <Novas_install> / share/PLI/nc_vhdl/SOLARIS2Si vous avez besoin pour générer votre propre bibliothèque a compilé les fichiers requis sont novasfmi.c, novascfc.c et novas.a.Ils sont situés au: <Novas_install_dir> / share/PLI/nc_vhdl/SOLARIS2Bootstrap dynamique des liensPour lier la bibliothèque compilée fournie:

* Compile novas.vhd trouvée dans <Novas_install> / share/PLI/nc_vhdl/SOLARIS2
* Ajouter dans votre code VHDL: work.novas.all utilisation;

* Setenv LD_LIBRARY_PATH <Novas_install> / share/PLI/nc_vhdl/SOLARIS2/boot

ncelab-f run.f accès R loadfmi debfmi: debfmi_bootLiaison statiqueCopier et de modifier Makefile.nc de <Cadence_install_dir> / tools / Inca / fichiers

> Make-f statique Makefile.nc

Exécutables statiques seront créées pour NCSim et ncelab

Utilisez ces nouveaux exécutables statiques

> Path = (<location de l'électricité statique executables>) $ path)

Mixed Design LanguageLa liaison dynamique

Pour lier la bibliothèque compilée fournie:

* Compile novas.vhd trouvée dans <Novas_install> / share/PLI/ldv4.0_vhpi/SOLARIS2
* Ajouter dans votre code VHDL: work.novas.all utilisation;
* Setenv LD_LIBRARY_PATH <Novas_install> / share/PLI/ldv4.0_vhpi/SOLARIS2Si vous avez besoin pour générer votre propre bibliothèque a compilé les fichiers requis sont novasfmi.c, novascfc.c, pli_body.o et veriuser.c.Ils sont situés au: <Novas_install_dir> / share/PLI/ldv4.0_vhpi/SOLARIS2Bootstrap dynamique des liens

* Compile novas.vhd trouvée dans <Novas_install> / share/PLI/ldv4.0_vhpi/SOLARIS2/boot
* Ajouter dans votre code VHDL: work.novas.all utilisation;

* Setenv LD_LIBRARY_PATH <Novas_install> / share/PLI/ldv4.0_vhpi/SOLARIS2/boot

ncelab-f run.f accès R-loadfmi debfmi: R debfmi_boot Si Top Level VHDL

ncelab-f run.f -debug loadpli1 debpli: R debpli_boot Si Top Level Verilog

Exemple Modèle d'utilisationVerilog

Ajouter le texte suivant dans votre code Verilog [1]:

initiales commencent $ fsdbDumpvars; fin

> Ncverilog accès R debug-f run.f

OU

> ncverilog-f run.f debug loadpli1 = debpli: debpli_boot

> Debussy-f run.f> Verdi-f run.fVHDL

Ajouter le texte suivant dans votre code VHDL: processus de

commencer

fsdbDumpfile ( "vhdl.fsdb");

fsdbDumpvars (0, "système");

attendre;

Terminer le processus;> Ncvhdl travail TRAVAIL-f run.f

> Ncelab accès R work.system: BLK

OU

> Ncelab-f run.f accès R loadfmi debfmi: debfmi_boot

> Work.system NCSim: BLK-stop.do d'entréeStop.do fichier d'exemple: nc_vhdl.fsdb appel fsdbDumpfile

fsdbDumpvars appel 0:

exécutez 12500 NS

sortie

> Vhdlcom-f run.f

> Debussy travail lib-System Haut> Verdi-lib travail System HautMixte

Ajouter le texte suivant dans votre code VHDL: processus de

commencer

fsdbDumpfile ( "vhdl.fsdb");

fsdbDumpvars (0, "système");

attendre;

Terminer le processus;

Ajouter le texte suivant dans votre code Verilog:

initiales commencent $ fsdbDumpvars; fin

> Ncvlog travail TRAVAIL-f run.f

> Ncvhdl travail TRAVAIL-f run.f

> Ncelab accès R work.system: BLK

> Work.system NCSim: BLK> Vericom-f run.f (fichiers Verilog seulement)

> Vhdlcom-f run.f (fichiers VHDL seulement)

> Debussy travail lib-System Haut> Verdi-lib travail System HautPour Debussy / Simulation Verdi Mode interactifEn Novas GUI sélectionner le simulateur approprié, par exemple:

- nTrace> Outils -> Options -> Préférences ---> Simulateur: NC-VHDL

Exécutable: NCSim

Exécuter la simulation: nTrace -> Outils -> Mode interactif ---> Exécuter

Exemples de pratiques de dumping de Debussy / Verdi ligne de commande:

> FsdbDumpvars appel

> Run 12500 ns

> Sortie

Peuvent également utiliser les options en mode graphique de Debussy / Verdi:

- nTrace Debug> ---> User Defined CommandesDumping de la part NCSim GUISur la ligne de commande NCSim GUI, vous pouvez taper FSDB commande comme suit:> FsdbDumpfile appel ( "test.fsdb")> Appel fsdbDumpvars 0 ( "System")-> 0: niveau, qui précise le nombre de niveaux de hiérarchie à décharge pour le champ d'application ultérieures

-> Système: module / variable, donne soit le champ d'application du module / variable qui spécifient les objets à benne

 

Welcome to EDABoard.com

Sponsor

Back
Top