SystemVerilog module verilog Testbench

M

mundravale

Guest
Salut,
J'étais à la recherche d'une couverture d'appui en fonction de mon verilog Testbench.Une façon d'y parvenir est le système de couverture des constructions verilog.Je me demandais si je peux écrire des constructions sous couverture SV SV programme / module et d'intégrer ce module dans verilog Testbench.Sera-t-il travailler?Pour SV construit à mettre en œuvre, nous avons besoin d'avoir en haut de page Testbench SV ou verilog 2k va faire?

Merci & Rgds

Dhananjay

 
Apple Pay to rozwiązanie które w założeniu ma uprościć płatności bezgotówkowe. Eksperci Sophos już teraz głośno zastanawiają się nad bezpieczeństwem tego produktu firmy z Cupertino.

Read more...
 
Dhananjay,
SV covergroup peut être à l'intérieur du module / interface / programme / classe
etc Si je comprends correctement votre installation, vous avez déjà un Verilog TB DUT et que vous souhaitez ajouter covergroup.L'option la plus simple est d'utiliser
le bind SV fonction d'atteindre cet objectif.

Par exemple:

Code:module sv_fcov (entrée sig_a, sig_b, sampl_event);covergroup my_cg @ (sampl_event);

c1: coverpoint sig_a;

c2: coverpoint sig_b;

endgroup: my_cgmy_cg my_cg_0 = new ();

endmodule: sv_fcov
 
Hey Ajeetha,

Merci de votre solution.J'ai exactement la même configuration.Maintenant, la seule contrainte est, vous devriez avoir tous les signaux disponibles dans le module de haut niveau tuberculose port listes que vous voulez utiliser.Supposons que je veux utiliser un signal de submodule alors que le signal est de mettre en évidence en haut de la page si le module correspondant port liste.Right?Merci encore,

Dhananjay

 
mundravale a écrit:

Hey Ajeetha,Merci de votre solution.
J'ai exactement la même configuration.
Maintenant, la seule contrainte est, vous devriez avoir tous les signaux disponibles dans le module de haut niveau tuberculose port listes que vous voulez utiliser.
Supposons que je veux utiliser un signal de submodule alors que le signal est de mettre en évidence en haut de la page si le module correspondant port liste.
Right?Merci encore,Dhananjay
 

Welcome to EDABoard.com

Sponsor

Back
Top