vhdl-ams avec ncvhdl

sebgimi

New member
Bonjour a tous et bonne annee!

J'essaye de modeliser un comparateur en vhdl-ams sur le logiciel ncvhdl mais je n'arrive pas a corriger les erreurs a la compilation...

Voici mon code:


Code:
--library ieee;
--use ieee.electrical_systems.all;

library ieee, disciplines;
use ieee.std_logic_1164.all;
use disciplines.electrical_system.all;

entity comparateur is 

	generic (level : real := 2.5; -- seuil
		 vcc   : real := 5;   -- etat haut sortie
		 gnd   : real := 0);  -- etat bas sortie

	port(terminal e, ref: electrical;  -- entree analogique
             signal   s: out std_logic); -- sortie numerique

end comparateur;

architecture simple of comparator is 

quantity v across e;       -- across quantity to ground

begin 

     s <= `1' when v'Above(level) -- v > level 
     else `0';                    -- v < level

end architecture;

Voici mes erreurs:

ncvhdl -work st_lib -message ../sources/comparateur_ent.vhdl
ncvhdl: 13.10-s013: (c) Copyright 1995-2013 Cadence Design Systems, Inc.
../sources/comparateur_ent.vhdl:
        port(terminal e, ref: electrical;  -- entree analogique
                      |
ncvhdl_p: *E,MISCOL (../sources/comparateur_ent.vhdl,14|15): expecting a colon (':') 87[4.3.3] 93[4.
3.2].
architecture simple of comparator is
                                |
ncvhdl_p: *E,ENNOFN (../sources/comparateur_ent.vhdl,19|32): Intermediate file for entity 'COMPARATO
R' could not be loaded, entity may require re-analysis.
architecture simple of comparator is
                                    |
ncvhdl_p: *E,EXPBEG (../sources/comparateur_ent.vhdl,19|37): expecting the reserved word 'BEGIN' [1.
2].
quantity v across e;      -- across quantity to ground
        |
ncvhdl_p: *E,UNKCCS (../sources/comparateur_ent.vhdl,21|9): unknown concurrent statement [9].
quantity v across e;      -- across quantity to ground
          |
ncvhdl_p: *E,UNKCCS (../sources/comparateur_ent.vhdl,21|11): unknown concurrent statement [9].
quantity v across e;      -- across quantity to ground
                  |
ncvhdl_p: *E,UNKCCS (../sources/comparateur_ent.vhdl,21|18): unknown concurrent statement [9].
quantity v across e;      -- across quantity to ground
                    |
ncvhdl_p: *E,EXPEND (../sources/comparateur_ent.vhdl,21|21): expecting the reserved word 'END' [1.2]
.
    s <= `1' when v'Above(level) -- v > level
          |
ncvhdl_p: *E,ILLPRI (../sources/comparateur_ent.vhdl,25|10): expecting an expression or operand [7.1
] [7.3].
end architecture;
                |
ncvhdl_p: *E,EXPACN (../sources/comparateur_ent.vhdl,28|16): expecting an architecture name [1.2].
        errors: 9, warnings: 0


En fait quand je regarde sur internet il y a plein de librairies differentes pour cette extension du vhdl, peut etre que je n'utilise pas les bonnes, peut etre qu'il y a des librairies specifiques au logiciel que l'on utilise. Comme vous le voyez je suis perdu, toute aide serait la bienvenue. Merci d'avance!
 

Welcome to EDABoard.com

Sponsor

Back
Top