VHDL

marshal.tn

New member
bonjour tous le monde :) ok j'ai un problem. je veux ecrire une matrice (32*32) en VHDL et puis je veux faire des equations de soustraction,de multiplication,le transposé et le moyene sur cette matrice.bon je suis entrain de travailler sur un pfe.je les ecrit en C et je veux accéler une partie en vhdl.s'il vous plait quelqu'un m'aide en cette partie et qui est interessé il peut me contacter sur mon email :) merci
 

Welcome to EDABoard.com

Sponsor

Back
Top